Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Використання блок-діаграм для декомпозиції складних пристроїв в САПР Active-HDL

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2011
Тип роботи:
Звіт про виконання лабораторної роботи
Предмет:
Моделювання
Група:
КІ

Частина тексту файла

Міністерство Освіти і Науки Національний Університет «Львівська політехніка» кафедра ЕОМ Звіт про виконання лабораторної роботи №8 з предмету «Моделювання комп’ютерних систем» на тему : «Використання блок-діаграм для декомпозиції складних пристроїв в САПР Active-HDL» Мета роботи: Навчитися використовувати блок-діаграми (Block Diagrams) для декомпозиції складних об'єктів на структурні складові. Отримати навички застосування констант generic для проектування структурних елементів. Розробити модель 8-розрядного 7-сегментного індикатора. На рисю№1 схема блоку, створена за допомогою блок-діаграм САПР Active-HDL після виконання запису в регістр і далі зчитування з нього. / Рис.№1 Коди у VHDL сгенерованих в проекті : Файл decod.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity DecoderInt is port( X : in STD_LOGIC_VECTOR(3 downto 0); Y : out STD_LOGIC_VECTOR(6 downto 0) ); end DecoderInt; architecture DecoderArch of DecoderInt is begin process (X) begin case(x) is when x"0" => Y <= "1111111"; when x"1" => Y <= "0100100"; when x"2" => Y <= "1101011"; when x"3" => Y <= "1101101"; when x"4" => Y <= "0111100"; when x"5" => Y <= "1011101"; when x"6" => Y <= "1011111"; when x"7" => Y <= "1100100"; when x"8" => Y <= "1111111"; when x"9" => Y <= "1111101"; when others => Y <="0000000"; end case; end process; end DecoderArch; Файл demux.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; entity DemuxInt is port( CLK : in STD_LOGIC; Seg : in STD_LOGIC_VECTOR(31 downto 0); Y7 : out STD_LOGIC_VECTOR(3 downto 0); Y6 : out STD_LOGIC_VECTOR(3 downto 0); Y5 : out STD_LOGIC_VECTOR(3 downto 0); Y4 : out STD_LOGIC_VECTOR(3 downto 0); Y3 : out STD_LOGIC_VECTOR(3 downto 0); Y2 : out STD_LOGIC_VECTOR(3 downto 0); Y1 : out STD_LOGIC_VECTOR(3 downto 0); Y0 : out STD_LOGIC_VECTOR(3 downto 0) ); end DemuxInt; architecture DemuxArch of DemuxInt is begin process (Seg) begin Y0 <= Seg(3 downto 0); Y1 <= Seg(7 downto 4); Y2 <= Seg(11 downto 8); Y3 <= Seg(15 downto 12); Y4 <= Seg(19 downto 16); Y5 <= Seg(23 downto 20); Y6 <= Seg(27 downto 24); Y7 <= Seg(31 downto 28); end process ; end DemuxArch; Файл Register.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; entity ParalRg is port( CLK : in STD_LOGIC; WE : in STD_LOGIC; RE : in STD_LOGIC; Data_In : in STD_LOGIC_Vector (31 downto 0) :="01101000001101000101011100100010"; --Data_In : in STD_LOGIC_Vector (7 downto 0) :="00000000"; Data_Out : out STD_LOGIC_VECTOR(31 downto 0) ); end ParalRg; architecture ParalRGAch of ParalRg is signal temp : std_logic_vector (31 downto 0) ; -- Використовується для зберігання всередині регістра begin Proc : process (CLK) begin if RE = '0' and WE = '1' then temp<=Data_In ; elsif RE = '1' and WE = '0' then Data_Out <= temp; else Data_Out <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; end if; end process Proc; end ParalRGAch; Файл diagr.vhd library IEEE; use IEEE.std_logic_1164.all; -- other libraries declarations library LAB8; entity diagr is generic(X : in STD_LOGIC_VECTOR(31 downto 0):="00000001001000110100010101100111"); port( CLK : in STD_LOGIC; RE : in STD_LOGIC; WE : in STD_LOGIC; LCD0 : out STD_LOGIC_VECTOR(6 downto 0); LCD1 : out STD_LOGIC_VECTOR(6 downto 0); LCD2 : out STD_LOGIC_VECTOR(6 downto 0); LCD3 : out STD_LOGIC_VECTOR(6 downto 0); LCD4 : out STD_LOGIC_VECTOR(6 downto 0); LCD5 : out STD_LOGIC_VECTOR(6 downto 0); LCD6 : out STD_LOGIC_VECTOR(6 downto 0); LCD7 : out STD_LOGIC_VECTOR(6 downto 0) ); end diagr; architecture diagr of diagr is ---- Component declarations ----- component DecoderInt port ( X : in STD_LOGIC_VECTOR(3 downto 0); Y : out STD_LOGIC_VECTOR(6 downto 0) ...
Антиботан аватар за замовчуванням

25.11.2012 18:11

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини